Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint

(整期优先)网络出版时间:2018-05-15
/ 1
由于新兴的3D集成技术,芯片(MPSoCs)上的多处理机系统现在能与改进精力效率在芯片上集成更多的IP核心。然而,几严重挑战也升起在上面为3DIC由于死叠建筑学。在他们之中,电源供应噪音成为一颗大担心。在纸,我们调查电源供应噪音(PSN)在PSN变化大部分取决于的不同核心和级和表演之中的相互作用任务任务。在另一方面,高集成密度在3DIC上招致一个严重热问题。在纸,我们建议就PSN和热问题而言安排框架的一项新奇任务。它主要由三部分组成。首先,我们提取由分析他们踪迹从建筑学水平模拟导出的电源运用任务的当前的刺激。第二,我们开发一个有效电源交货网络(PDN)解答者高效地评估PSN大小。第三,我们建议一个启发式的算法解决安排问题的提出的任务。与最先进的任务任务算法相比,建议方法能在2上在12%减少PSN吗??