一种基于VHDL语言的数字频率计设计

(整期优先)网络出版时间:2010-04-14
/ 1
介绍一种在FPGA中运用VHDL语言实现数字频率计的方法。该频率计其频率测量范围为0Hz~100MHz,测量结果用8只数码管显示。其设计方法与传统的设计方法相比,具有外围电路简单,程序修改灵活和调试容易等特点。